GlobalFoundries Gives 7 nm Capacity Update, Mulls Skipping 5 nm

High-ranking executives of GlobalFoundries this month gave several updates concerning future plans of the contract maker of semiconductors. As it appears, in a bid to provide more tangible advantages to its customers and not to invest in short-lasting nodes, the company is mulling skipping 5 nm manufacturing technology like it did with the 10 nm fabrication process. In addition, the company admits that its only leading-edge fab may not have enough capacity for all of its customers at 7 nm, and some of its clients may need to work with other foundries to meet their demand.

7LP On Track for HVM, But Capacities Are Concern

Gary Patton, CTO of GlobalFoundries, said in an interview with EETimes that the company’s first-generation 7LP process technology (7 nm leading performance), which relies exclusively on DUV step and scan systems, is on-track for high-volume manufacturing in the second half of this year. One of the first customers to use this tech will be AMD, which briefly announced its 7LP Vega GPU for a couple of times already. Not everything is rosy with 7LP though.

According to Mr. Patton, since the Fab 8 produces leading edge chips for all of the company’s clients, its 7LP capacity may be limited, which is why AMD may not get enough capacity. The good news is that GF’s 1st Gen 7LP is similar to TSMC’s CLN7FF (which also does not use EUV) and therefore clients with significant demand will be able to port their designs from one foundry to another with some ease. Under the wafer supply agreement signed in 2016, AMD has rights to use foundries other than GlobalFoundries in certain situations. Though whether this capacity-constrained situation actually comes to pass, and whether or not AMD and other partners of GlobalFoundries will have enough time to develop all the designs they need for both foundries should it occur, remains to be seen.

Meanwhile one thing that should be kept in mind is that GlobalFoundries is planning for three generations of 7LP processes, with two of them using EUV lithography and ASML’s Twinscan NXE steppers. As of early 2018, Fab 8 had only one EUV stepper installed with another being constructed. Meanwhile, there is a space for two more, so eventually GlobalFoundries will have four Twinscan NXE machines in Fab 8.

Capacity Expansion May Be Needed for Next Major Node

Earlier this year GlobalFoundries said that to provide its customers a tangible performance, transistor density, and power reduction boost from 7LP, it might need to move to new transistor structure. The company did not disclose any names for its next-gen node and for a reason. Apparently, GlobalFoundries is mulling whether to skip what the industry calls the 5 nm node and jump right to 3 nm. No final decision has been made and there are other concerns.

As leading edge process technologies get more complex and expensive to develop amid rising demand for chips, foundries need to boost their production capacities to remain profitable. Companies like TSMC and Samsung Foundry can land orders from large makers of consumer electronics (Apple, Samsung Electronics, etc.) that can offset development costs of nodes and equipment by ordering huge amounts of chips at premium prices. As a result, to be successful in the long term, GlobalFoundries will not only need to develop competitive process technologies, but also expand its leading edge capacities. Alternatively, GlobalFoundries is open to another process-sharing deal, like the one it signed with Samsung for their 14LPP technology.

Several years ago, GlobalFoundries already considered increasing capacity of the Fab 8 by building an additional module, but the plan was shelved back then. Instead, the company switched some of the equipment in Fab 8 to improve 14LPP supply in 2017. GlobalFoundries is still mulling whether to construct what would be Fab 8 Module 2, but a project like this might require support from the federal government, which is something that the company may not get in the USA. Meanwhile, since GlobalFoundries runs fabs not only in the U.S., but also in Germany and Singapore, another way of adding leading edge capacity would be to upgrade one or two of the non-U.S. facilities assuming that the respective governments provide incentives to the chip maker.

At present it is too early to make any predictions regarding GlobalFoundries’ plans for 5 nm or 3 nm fabrication technologies because they are years away. Meanwhile, if GlobalFoundries is seriously thinking about expanding its leading edge capacities for its next major node, it may have to make its decision rather sooner than later.

Related Reading:

Source: EETimes (1, 2)



from AnandTech https://ift.tt/2LJlJcx
via IFTTT
Share on Google Plus

About Unknown

This is a short description in the author block about the author. You edit it by entering text in the "Biographical Info" field in the user admin panel.
    Blogger Comment
    Facebook Comment

0 comments:

Post a Comment